Skip to content
Snippets Groups Projects
Commit 25521afa authored by Bourgoin Thomas's avatar Bourgoin Thomas
Browse files

Ajout d'un diviseur de fréquence à partir de notre compteur pour ne pas...

Ajout d'un diviseur de fréquence à partir de notre compteur pour ne pas utiliser de fichier schématique
parent 1048afdc
No related branches found
No related tags found
No related merge requests found
......@@ -12,10 +12,12 @@ END TopLevel;
ARCHITECTURE Arch_TopLevel OF TopLevel IS
SIGNAL DINTEMP, DOUTTEMP, D: std_logic_vector(7 DOWNTO 0);
SIGNAL DBUFFOUTTEMP: std_logic_vector(15 DOWNTO 0);
SIGNAL H : std_logic;
constant divFreq : positive := 24;
SIGNAL DivFreqOut: std_logic_vector((divFreq-1) downto 0);
--SIGNAL H : std_logic;
ALIAS H : std_logic is DivFreqOut((divFreq-1));
COMPONENT Timer8254 IS
PORT (
......@@ -29,8 +31,15 @@ ARCHITECTURE Arch_TopLevel OF TopLevel IS
COMPONENT Dvide_Freq
PORT (in_clk : IN std_logic; out_cpt23 : OUT std_logic);
END COMPONENT;
END COMPONENT;
COMPONENT Compteur_Decompteur_NBits
GENERIC (width : integer := divFreq);
PORT (Din : IN std_logic_vector(width-1 DOWNTO 0);
clock, reset, load, Cin, UpDown: in std_logic;
Dout : OUT std_logic_vector(width-1 DOWNTO 0);
Cout : OUT std_logic);
END COMPONENT;
COMPONENT DCB is
port (datai : IN std_logic_vector (3 downto 0);
......@@ -39,8 +48,11 @@ END COMPONENT;
BEGIN
Divide : Dvide_Freq
PORT MAP ( in_clk => MAX10_CLK1_50, out_cpt23 => H);
--Divide : Dvide_Freq
--PORT MAP ( in_clk => MAX10_CLK1_50, out_cpt23 => H);
DivFreqInst : Compteur_Decompteur_NBits
PORT MAP(clock => MAX10_CLK1_50, Din => (others => '0'), reset => '0', load => '0', Cin => '1', UpDown => '1');
DINTEMP(7 DOWNTO 2)<= SW(9 DOWNTO 4);
DINTEMP(1 DOWNTO 0)<= "00";
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment